中国韩国日本在线观看免费,A级尤物一区,日韩精品一二三区无码,欧美日韩少妇色

大規(guī)模眾核微處理器互連網(wǎng)絡(luò)體系結(jié)構(gòu)及性能分析研究

發(fā)布時(shí)間:2018-07-16 11:16
【摘要】:基于多核甚至眾核設(shè)計(jì)的高性能處理器,是未來(lái)艾級(jí)高性能計(jì)算機(jī)的支撐技術(shù)。高帶寬、低延遲、低功耗和強(qiáng)擴(kuò)展性的互連網(wǎng)絡(luò)對(duì)于釋放處理器核強(qiáng)大的并行計(jì)算能力、提高眾核處理器的性能有十分重要的意義。目前,眾核系統(tǒng)的設(shè)計(jì)挑戰(zhàn)中,互連通信逐漸成為制約系統(tǒng)性能提升的瓶頸。新興的3D集成技術(shù)和硅基光子器件在芯片功能、集成密度和功耗方面有獨(dú)特優(yōu)勢(shì)。這些新技術(shù)、新器件的發(fā)展成熟為解決眾核系統(tǒng)互連瓶頸帶來(lái)新的機(jī)會(huì)。 本文以研究眾核系統(tǒng)互連瓶頸為出發(fā)點(diǎn),探索眾核微處理器互連網(wǎng)絡(luò)的創(chuàng)新型體系結(jié)構(gòu),并利用網(wǎng)絡(luò)演算理論對(duì)眾核互連網(wǎng)絡(luò)進(jìn)行建模與分析。主要研究?jī)?nèi)容包括四個(gè)方面: (1)眾核系統(tǒng)片上核間互連網(wǎng)絡(luò)體系結(jié)構(gòu) 核間傳輸?shù)膱?bào)文以控制報(bào)文為主,對(duì)實(shí)時(shí)性有著極高的要求。隨著計(jì)算核節(jié)點(diǎn)數(shù)增多,傳輸延遲成為限制大規(guī)模眾核處理器核間互連網(wǎng)絡(luò)性能的首要因素。以Mesh為代表的簡(jiǎn)單低維片上網(wǎng)絡(luò)結(jié)構(gòu),雖然布線(xiàn)簡(jiǎn)單,但由于其網(wǎng)絡(luò)傳輸跳步數(shù)隨著系統(tǒng)節(jié)點(diǎn)規(guī)模呈比例增長(zhǎng),很難滿(mǎn)足大規(guī)模眾核芯片的低延遲傳輸需求。利用3D集成技術(shù),本文提出了一種三維扁平蝴蝶形網(wǎng)絡(luò)的拓?fù)浣Y(jié)構(gòu),用于大規(guī)模眾核處理器的核間電報(bào)文傳輸。采用整數(shù)線(xiàn)性規(guī)劃模型,我們克服了蝶形網(wǎng)絡(luò)中高階路由器和長(zhǎng)互連線(xiàn)的布線(xiàn)挑戰(zhàn),成功地將扁平蝴蝶形網(wǎng)絡(luò)嵌入到三維疊層中。扁平蝴蝶形拓?fù)涫且环N高維拓?fù)浣Y(jié)構(gòu),擴(kuò)展性強(qiáng),尤其適合大規(guī)模計(jì)算核節(jié)點(diǎn)之間的互連。三維蝶形網(wǎng)絡(luò)在保證Mesh連通性的同時(shí)增加了額外的捷徑鏈路,同時(shí)利用高速的垂直互連線(xiàn),實(shí)現(xiàn)了核間報(bào)文的快速傳遞。實(shí)驗(yàn)結(jié)果表明,三維蝶形網(wǎng)絡(luò)能夠有效的降低核間互連延遲,顯著的提升眾核處理器性能。 (2)眾核微處理器光訪(fǎng)存網(wǎng)絡(luò)體系結(jié)構(gòu) 訪(fǎng)存互連對(duì)眾核處理器至關(guān)重要,如果不能快速的存取數(shù)據(jù),眾核處理器強(qiáng)大的并行計(jì)算能力將很難發(fā)揮。隨著單片上集成的處理器核數(shù)越來(lái)越多,訪(fǎng)存通信帶寬需求也急劇增長(zhǎng)。傳統(tǒng)的基于電IO管腳的“處理器-存儲(chǔ)器”互連方案在大規(guī)模眾核芯片中遇到了挑戰(zhàn),電互連方式很難在滿(mǎn)足嚴(yán)格的功耗預(yù)算的前提下,為片上眾核提供足夠大的訪(fǎng)存帶寬。利用新興的硅基光電子器件和3D集成技術(shù),我們提出了一種高帶寬、低功耗的光訪(fǎng)存網(wǎng)絡(luò)方案,用于眾核處理器與DRAM之間的互連通信。這種基于光突發(fā)交換協(xié)議的訪(fǎng)存網(wǎng)絡(luò)采用光互連接口代替電IO管腳,能夠?qū)崿F(xiàn)眾核處理器和存儲(chǔ)器的高帶寬無(wú)縫互連。除了帶寬優(yōu)勢(shì)外,與以往的光訪(fǎng)存網(wǎng)絡(luò)相比,新方案的波長(zhǎng)資源利用率得到了極大的提高,進(jìn)一步提高了訪(fǎng)存通信的功耗效率。實(shí)驗(yàn)結(jié)果表明,基于光突發(fā)交換協(xié)議的訪(fǎng)存網(wǎng)絡(luò)的功耗效率比光線(xiàn)路交換的訪(fǎng)存網(wǎng)絡(luò)提高了近2倍,比電接口方案提高了6倍。 (3)芯片尺度光網(wǎng)絡(luò)中的電控制層擁塞避免方案 由于光緩存、光邏輯器件缺失,光電混合網(wǎng)絡(luò)大都采用電控制層,負(fù)責(zé)資源仲裁、鏈路控制。在芯片尺度光突發(fā)交換網(wǎng)絡(luò)研究中,我們發(fā)現(xiàn),大量的細(xì)粒度光突發(fā)報(bào)文、嚴(yán)格的傳輸延遲限制和中等的網(wǎng)絡(luò)工作頻率限制了光網(wǎng)絡(luò)的電控制層處理能力,極易導(dǎo)致嚴(yán)重的網(wǎng)絡(luò)擁塞。因而,我們提出了一套流量整形方案,解決電控制層網(wǎng)絡(luò)擁塞問(wèn)題。在注入網(wǎng)絡(luò)前,系統(tǒng)中所有報(bào)文流首先進(jìn)行全局協(xié)調(diào)和整形,確保中間任何節(jié)點(diǎn)上的控制報(bào)文聚合流速率不會(huì)超過(guò)其最大處理能力,以達(dá)到減輕控制層擁塞的目的。我們采用優(yōu)化算法,選取報(bào)文流整形器的整形參數(shù)(比如,報(bào)文流速度和報(bào)文突發(fā)性參數(shù))。這種擁塞控制方案在一定程度上,為各個(gè)報(bào)文流的端到端傳輸進(jìn)行資源預(yù)約,在帶寬方面提供基本的服務(wù)質(zhì)量保證,可以有效的緩解由控制層擁塞引起的光突發(fā)報(bào)文丟失現(xiàn)象;诤铣闪髁亢驼鎸(shí)運(yùn)用軌跡的實(shí)驗(yàn)表明,這種新方法能有效避免控制層擁塞,降低報(bào)文丟失率,提高芯片尺度光突發(fā)交換網(wǎng)絡(luò)的系統(tǒng)性能。 (4)芯片尺度光互連網(wǎng)絡(luò)性能分析 芯片尺度光互連網(wǎng)絡(luò)的設(shè)計(jì)需要平衡多方面的因素,包括網(wǎng)絡(luò)延遲、吞吐量、能耗和硅片面積占用。這些系統(tǒng)級(jí)互連參數(shù)的選擇直接影響整個(gè)芯片的性能,因而進(jìn)行片上網(wǎng)絡(luò)的性能分析,對(duì)系統(tǒng)的設(shè)計(jì)具有重要意義。為此,我們開(kāi)展了芯片尺度光網(wǎng)絡(luò)的解析建模工作。利用隨機(jī)網(wǎng)絡(luò)演算理論,我們建立了光突發(fā)交換網(wǎng)絡(luò)的存儲(chǔ)資源需求模型,以及光器件的波長(zhǎng)資源需求估算模型。仿真實(shí)驗(yàn)與數(shù)值分析的結(jié)果表明,這些解析模型計(jì)算得到的邊界相當(dāng)緊致。利用這些隨機(jī)網(wǎng)絡(luò)演算分析模型,我們可以快速評(píng)估眾核系統(tǒng)光互連網(wǎng)絡(luò)的系統(tǒng)級(jí)設(shè)計(jì)參數(shù),比如存儲(chǔ)器資源需求、傳輸延遲、光器件資源需求等。在設(shè)計(jì)初期,建模分析網(wǎng)絡(luò)的性能,還可以提前降低設(shè)計(jì)風(fēng)險(xiǎn)?偟恼f(shuō)來(lái),我們的解析模型刻畫(huà)了系統(tǒng)性能與網(wǎng)絡(luò)負(fù)載、體系結(jié)構(gòu)之間的關(guān)系,有助于迅速找出影響性能的關(guān)鍵因素和設(shè)計(jì)瓶頸,促進(jìn)設(shè)計(jì)空間收斂。 綜上所述,本文研究了眾核系統(tǒng)的互連瓶頸問(wèn)題,提出了新的網(wǎng)絡(luò)體系結(jié)構(gòu),并基于網(wǎng)絡(luò)演算理論,,對(duì)該體系結(jié)構(gòu)進(jìn)行了解析建模和性能分析。本文理論與實(shí)際結(jié)合緊密,為眾核處理器互連瓶頸問(wèn)題提供了新的解決方案,對(duì)推動(dòng)高性能處理器技術(shù)發(fā)展做出了積極的貢獻(xiàn),并進(jìn)一步擴(kuò)展了網(wǎng)絡(luò)演算理論的運(yùn)用領(lǐng)域。
[Abstract]:High performance processor based on multi core and even kernel design is the support technology of high performance computer in the future. High bandwidth, low delay, low power and strong scalability interconnect network is very important to release the processor's powerful parallel computing power and improve the performance of the core processor. In the battle, interconnect communication has gradually become a bottleneck restricting the performance of the system. The new 3D integration technology and silicon based photonic devices have unique advantages in chip function, integration density and power consumption. These new technologies and new devices are mature to bring new opportunities to solve the bottleneck of interconnect in the core system.
This paper, based on the research of the bottleneck of the interconnect of the public nuclear system, explores the innovative architecture of the interconnect network of the core microprocessor, and uses the network calculus theory to model and analyze the interconnected network. The main research contents include four aspects:
(1) intercore interconnection network architecture of many core systems
The message transmitted between the nuclei is dominated by the control message, and it has a high requirement for real time. With the increasing number of nodes in the computation, the transmission delay is the primary factor restricting the performance of the interkernel interconnected networks of large mass core processors. The simple low dimension network structure represented by Mesh is simple, but the number of jumps in the network is due to its network transmission. As the scale of the system nodes is increasing proportionately, it is difficult to meet the demand for the low delay transmission of large mass core chips. By using 3D integration technology, a topology of a three-dimensional flat butterfly network is proposed in this paper, which is used for the transmission of interkernel telegraph between large mass core processors. The integer linear programming model is used to overcome the butterfly network. The flat butterfly network is successfully embedded in the 3D stack. The flat butterfly topology is a high dimensional topology with strong scalability and especially suitable for the interconnection between the large computing nodes. The 3D butterfly network increases the extra shortcut link while guaranteeing Mesh connectivity. At the same time, high speed vertical interconnects have been used to achieve fast transmission of internuclear messages. The experimental results show that the three-dimension butterfly network can effectively reduce internuclear interconnect delay and significantly improve the performance of the multiprocessor.
(2) the architecture of optical access network for many core processors
Memory access interconnection is very important for many nuclear processors. If the data can not be accessed quickly, the powerful parallel computing power of the core processors will be difficult to play. With the increasing number of core processors integrated with the monolithic processor, the demand for memory access communication bandwidth is also increasing. The traditional "processor memory" interconnection scheme based on the electric IO pins is large There is a challenge in large scale nuclear chips. Electrical interconnection is difficult to provide large enough memory bandwidth for all cores on the premise of satisfying the strict power budget. Using the new silicon based optoelectronic devices and 3D integration technology, we have proposed a high bandwidth, low power optical access network scheme for the public core processor and the DRAM. Interconnect communication. This network based on optical burst switching protocol uses optical interconnection interfaces instead of electrical IO pins to achieve high bandwidth and seamless interconnection of all nuclear processors and memory. In addition to bandwidth advantages, compared with the previous optical memory network, the utilization of the new scheme has been greatly improved. The experimental results show that the power efficiency of the memory access network based on the optical burst switching protocol is nearly 2 times higher than that of the optical line switched network, and the specific power interface scheme is 6 times higher.
(3) electrical control layer congestion avoidance scheme in chip scale optical network
Because of optical caching, optical logic devices are missing, optoelectronic hybrid networks mostly use electric control layer, responsible for resource arbitration and link control. In the study of chip scale optical burst switching network, we found that a large number of fine-grained optical burst messages, strict transmission delay constraints and medium network operating frequencies limit the electrical control layer of optical networks. It is very easy to cause serious network congestion. Therefore, we propose a flow shaping scheme to solve the congestion problem of the electric control layer network. Before the injection network, all message flows in the system are first coordinated and plastic to ensure that the rate of convergence of the control report on any node does not exceed its maximum processing capacity. In order to reduce the congestion of the control layer, we use the optimization algorithm to select the shaping parameters of the message flow shaper (such as the speed of the message flow and the burst parameters of the message). This congestion control scheme, to some extent, provides the resources for the end to end transmission of each message stream, and provides the basic quality of service for the bandwidth. It can effectively alleviate the loss of the burst message caused by the congestion of the control layer. The experiment based on the synthetic traffic and the real application trajectory shows that this new method can effectively avoid the congestion of the control layer, reduce the loss rate of the message, and improve the system performance of the chip scale optical burst switching network.
(4) performance analysis of chip scale optical interconnection network
The design of a chip scale optical interconnection network requires a balance of factors, including network delay, throughput, energy consumption and silicon area occupation. The selection of these system level interconnection parameters directly affects the performance of the whole chip. Therefore, the performance analysis of the on-chip network is important to the design of the system. Therefore, we have developed a chip. The analytic modeling work of the scale optical network. Using the stochastic network calculus theory, we set up the storage resource requirement model of the optical burst switching network and the estimation model of the wavelength resource requirements of the optical devices. The simulation experiment and the numerical analysis show that the boundary of these analytical models is quite compact. We can quickly evaluate the system level design parameters of the optical interconnection network of many nuclear systems, such as memory resource requirements, transmission delay, optical device resource requirements and so on. In the early design, modeling analysis network performance can also reduce design risk in advance. In general, our analytical model portrays the system performance. The relationship with network load and architecture helps to find out the key factors and design bottlenecks which affect the performance quickly, and promote the design space convergence.
To sum up, this paper studies the interconnection bottleneck problem of the multikernel system and proposes a new network architecture. Based on the network calculus theory, the analytical modeling and performance analysis of the architecture are carried out. This paper combines the theory with the reality, provides a new solution for the bottleneck problem of the interconnect of the core processors, and promotes the high performance service. It has made positive contributions to the development of science and technology, and has further expanded the application field of network calculus theory.
【學(xué)位授予單位】:國(guó)防科學(xué)技術(shù)大學(xué)
【學(xué)位級(jí)別】:博士
【學(xué)位授予年份】:2012
【分類(lèi)號(hào)】:TP332

【參考文獻(xiàn)】

相關(guān)博士學(xué)位論文 前2條

1 李煥忠;基于隨機(jī)網(wǎng)絡(luò)演算的性能分析技術(shù)研究[D];國(guó)防科學(xué)技術(shù)大學(xué);2011年

2 錢(qián)悅;片上網(wǎng)絡(luò)演算模型及性能分析[D];國(guó)防科學(xué)技術(shù)大學(xué);2010年



本文編號(hào):2126215

資料下載
論文發(fā)表

本文鏈接:http://www.lk138.cn/kejilunwen/jisuanjikexuelunwen/2126215.html


Copyright(c)文論論文網(wǎng)All Rights Reserved | 網(wǎng)站地圖 |

版權(quán)申明:資料由用戶(hù)bb1f1***提供,本站僅收錄摘要或目錄,作者需要?jiǎng)h除請(qǐng)E-mail郵箱bigeng88@qq.com